當前位置:
首頁 > 最新 > 中國半導體產業的天時地利人和!

中國半導體產業的天時地利人和!

來源:內容來自「中泰證券」,謝謝。

全球半導體超級周期體系:「矽片剪刀差」+「第四次硅含量提升」已經得到充分驗證,並在2018年將持續加強。

同時,基於人工智慧、汽車電子、物聯網、工業控制、5G通信等創新還在持續,從全球龍頭近期財報及展望來看,全球半導體產業第四次轉移大勢所趨,中國半導體產業崛起天時、地利、人和!

從產業鏈看半導體超級周期

根據世界半導體貿易協會(WSTS)統計,2016年全球半導體市場規模同比增長1.1%達3389億美元,其中集成電路市場規模為2767億美元,佔比達81.6%。進一步看細分佔比情況,微處理器、邏輯晶元、存儲器、模擬電路市場規模分別佔半導體市場的19%、28%、22%、13%。

從近期世界半導體貿易協會(WSTS)及美國半導體行業協會(SIA)的公布來看,2017年全球半導體產值增速連續上修,由上半年的11%上修至17%,存儲器市場增速更是上修至50%。我們預計2017年全球半導體市場規模大概率超4000億美元,存儲器產值有望超1100億美元,成為佔比最高的集成電路細分品種。

從產業鏈的角度來看,半導體核心產業鏈主要有設計、製造和封測三個環節,形式有IDM和垂直分工兩種。

1、晶元設計:是晶元的研發過程,是通過系統設計和電路設計,將設定的晶元規格形成設計版圖的過程;晶元設計公司對晶元進行寄存器級的邏輯設計和晶體管級的物理設計後,將不同規格和效能的晶元提供給下游廠商。

2、晶圓製造:晶圓製造指在製備的晶圓材料上構建完整的物理電路。過程包括掩模製作、切片、研磨、擴散、光刻、刻蝕、離子注入等核心工藝。

3、封裝測試:是將生產出來的合格晶圓進行切割、焊線、塑封,使晶元電路與外部器件實現電氣連接,並為晶元提供機械物理保護,並利用集成電路設計企業提供的測試工具,對封裝完畢的晶元進行功能和性能測試。

半導體支撐產業主要包括半導體設備與半導體材料:

1、半導體設備:半導體設備主要應用於晶圓製造和封裝測試環節。由於半導體加工工序多,因此在製造過程中需要大量的半導體製造設備。例如光刻機、刻蝕機、化學氣相沉積等設備。我們會在第七章設備環節詳細介紹。

2、半導體材料:半導體材料種類繁多,襯底(矽片/藍寶石/GaAs 等)、光刻膠、電子氣體、濺射靶材、CMP 材料、掩膜版、電鍍液、封裝基板、引線框架、鍵合絲、塑封材料等。還需要光刻膠、特種氣體、刻蝕液、清洗液等眾多的材料。

從產值分布來看,電子設備及半導體產業產值呈倒金字塔分布,由下游電子產品半導體器件晶元半設備材料,產值越來越小,技術難度及行業壁壘越來越大。

2017半導體開啟超級景氣周期,截止17年12月全球半導體銷售額連續19個月環比增長,景氣度創歷史新高。

根據WSTS最新發布數據,2017年全球半導體銷售額同比增長21.6%至4122億美元,歷史首次突破四千億美元!其中Q4及12月同比增速仍保持在22%以上,尚未出現增速下行情況,我們預計2018年全球半導體仍將維持高景氣度。

根據Garnter 18年1月最新報告,再度上修18年全年銷售額至4510億美元。本次上修較其前次預測提升236億美元,並且認為存儲晶元、FPGA、光電、ASIC和ASSP將成為18年全球半導體銷售額提升的主要驅動因素。

全球半導體營收於2000年時超越2000億美元門檻,歷經10年時間,於2010年達3000億美元紀錄,如今隨著半導體應用更為廣泛,只花七年就可望再增加千億美元規模。

同時SEMI統計17年全球半導體設備支出達到570億美元,較上半年的預測金額增加20.7%,同比增長達38%,主要動能來自存儲器與晶圓代工增加投資,明年支出預估也從500億美元上修至630億美元,可望連續2年創新高紀錄。目前全球晶圓廠預測追蹤中的晶圓廠設廠計劃,2017年有62座,2018年有42座,其中許多會在大陸,帶動大陸近2年設備支出將大幅成長。

根據WSTS,全球半導體設備季度銷售額連續七個季度實現同比增長。SEMI統計北美半導體設備月度銷售額亦連續11個月同比增長,17年11月單月同比增長27.3%至20.5億美元。

「矽片剪刀差」

「矽片剪刀差」是本輪半導體景氣周期核心驅動因素,愈演愈烈。從目前來看剪刀差將愈演愈大,矽片大廠sumco等2018年報價提高,且2019年還會繼續漲價;第三大廠環球晶2019年產能被包完,2020年產能已有大單,剪刀差至少持續至2020年。

矽片是半導體最核心、成本佔比最高的材料,由於對純度要求超高,因此行業壁壘極高、呈現高度壟斷格局。目前以日本信越半導體、勝高科技,台灣環球晶圓、德國siltronic、韓國SK siltron為代表的五家公司掌握90%以上的市場份額。

2016-2017年剪刀差的持續擴張,半導體矽片漲價對半導體晶元的價格傳導、引發行業晶圓產能降階搶奪,引發整個半導體產業鏈傳導作用意義深遠,很難去估量對行業所帶來的巨變,因為這個剪刀差形成的時間周期從2008年以來,醞釀時間長達8年,並且從矽片漲價到傳導半導體晶圓廠,從12寸蔓延到6寸,時間周期僅有3個月,剪刀差的開口擴張速率上行迅猛。

高性能運算、物聯網、汽車電子使得半導體需求持續提升,中國產能未來三年持續投放以及摩爾定律放緩多重因素疊加,持續性以及幅度將更強。在全球產業供需緊張、中國新產能持續擴產情況下,矽片剪刀差將有加速擴大的趨勢。

目前從產業鏈反饋情況來看,矽片缺口在繼續擴大!SUMCO反應客戶要貨的緊度變強很多,缺口比預期嚴重,原本預期今明兩年12吋各漲20%的目標將要大幅上修。而SEMI統計12寸矽片上半年累計漲幅20%,下半年漲價有望繼續上漲20-30%。超出我們此前預測的H1漲幅14.3%、H2漲幅20.9%。SEMI預測,明年12寸矽片將較今年再漲30-40%,而這也大幅超出了我們對於2018年漲幅的預測。

而從各家擴產計劃公布情況來看,12寸片目前僅有SUMCO與Siltronic初步發布2019年擴產計劃:

1、SUMCO:8月8日Q2業績發布會上表示計劃投資436億日元(約3.8億美元)在佐賀擴產,增產特別之處在於未建置長晶爐新線,僅增設表明研磨、洗凈設備線與無塵室。預計19H1達產後增加110 kw/m的產能;

2、Siltronic:10月26日在Q3業績發布上發布擴產計划進行70 kw/m的擴產,擴產周期15-18個月,預計19年中期達產,對應資本開支約1.4億歐元;

3、8寸片方面,目前擴產計劃主要有合晶鄭州項目(20萬片/月)和GWC&Ferrotec合作項目(一期15萬片/月、滿產45萬片/月),按照進度最快也是在2019年達產。

龍頭矽片廠擴產計劃與我們此前判斷一致,即新產能最快也要在2019年才能釋放,且各家基本保持謹慎擴產態度,擴充產能主要為彌補14/16 nm先進位程所需晶圓缺口。也正因為如此,SUMCO、信越、環球晶等龍頭股價在8月8日對SUMCO擴產信息錯誤解讀大跌之後,繼續迎來強勢上揚,各家最新財報對未來兩年矽片展望仍是供不應求。

具體到產能數據,17-19年保持缺口是確定性事件。我們結合各廠產能數據、擴產計划進行統計,按照19年擴產項目滿產情況,保守估計17-19年缺口繼續放大,月缺口至少達19、37、44萬片!

重新回顧矽片漲價受益品種傳導路徑:當矽片漲價傳導到半導體晶圓製造環節中,前端和後端都會受益,但是受益的時間和路徑程度不一。

我們認為,在漲價的初期,矽片漲價最先傳導到前端製造環節,再依次傳導到後端製造的封裝和測試環節,看好存儲器、晶圓前端製造、易耗品,以存儲器為代表的通用型晶元將成為最受益品種。

為什麼最看好存儲器?評判本輪漲價品種誰是最大贏家,需要從需求周期、漲價周期和中國大陸12寸晶圓新增產能建設周期進行綜合評估。最受益品種的關鍵在於需求和供給滿足完整全閉環條件,存儲器的必需性、通用性決定了廠商能夠將上游晶圓製造成本上行有效傳導給下游終端廠商、客戶,而高性能需求升級、伺服器升級、物聯網、汽車電子等新需求的出現無疑再添一把柴,加速缺貨和漲勢的形成。

以本輪最為受益的DRAM和大陸唯一深度參與的NOR Flash(也有較大漲幅)為例進行具體說明:

1、DRAM:需求周期,AI高性能運算機組、數據中心伺服器、「吃雞」等遊戲引發PC內存升級等剛性需求和供給形成完整全閉環;漲價周期,矽片漲價三星/美光/海力士/南亞製造成本提升內存顆粒漲價模組廠成本提升內存條漲價。

2、NOR Flash:需求周期,AMOLED、雙攝、TDDI、智能聲學四大新增需求和供給形成完整全閉環,並且貫穿2017-2018年整個漲價周期;存儲器產商從消費級市場切入汽車+工業級市場,構成第二個新增需求和供給的全閉環,汽車市場非常像2009-2010年智能手機開始普及的時候;漲價周期,矽片漲價引發的晶圓產能緊張進而漲價,使得存儲器缺貨緊張,並形成漲價需求。同時晶圓製造成本的漲價可以傳導消費級晶元廠商、工控/汽車晶元廠商與終端手機廠商,漲價周期貫穿於本輪矽片漲價周期。

AI、物聯網、汽車電子引領第四輪硅含量提升

我們結合半導體硅含量提升趨勢圖與60年全球半導體產值對過去的三輪提升周期進行回顧。

我們可以清晰看到,從第一款半導體集成電路晶元發明以來,直接推動著信息技術發展,我們一共經歷著3個完整的發展周期,目前正在進入第4個發展周期。

1、第一波:1970s-1990s,全球半導體的硅含量從6%提高到23.1%,下游需求推動為個人電腦、大型機等,隨後進入穩定期。這一時期,全球半導體銷售產值從5億美金到首次突破1000億美金大關;

2、第二波:2000-2008,全球半導體的硅含量從17.3%提高到22.4%,下游需求推動的力量是筆記本、無線通訊以及家電等。這一階段,全球半導體銷售產值從1750億美金增長到2500億美金;

3、第三波:2010年到2016年,全球半導體硅含量從21.1%提高到26.4%,下游需求推動的力量是智能手機為代表的移動互聯網產品,隨後進 入穩定期,在這一時期,全球半導體銷售產值從2180億美金增長至3300億美金;

4、第四波:2017-2022年我們即將進入第四個全球半導體硅含量提升周期,這次硅含量的提升將突破30—35%,下游需求的推動力量是汽車、AI、物聯網、5G等,我們預計未來全球半導體銷售產值將突破5000億美金大關。

全球半導體硅含量提升VS全球半導體產值:我們統計1965—2017年全球半導體產值和全球半導體硅含量的關係,可以更加清晰看到,半導體硅含量提升驅使著全球半導體高速成長。

存儲器晶元是全球半導體硅含量提升周期的抓手:我們統計了1990-2016年以來,全球存儲器晶元銷售產值和全球半導體硅含量的關係,通過下圖,我們可以認為存儲器晶元是全球半導體硅含量提升周期的抓手,每一次硅含量提升周期的上升周期和下降周期基本和全球存儲器產值相對應。

存儲器:國之重器,國家戰略

存儲器(Memory)是現代信息技術中的記憶設備,用來存放程序和數據。存儲器廣泛應用於計算機、消費電子、網路存儲、物聯網、國家安全等重要領域,是信息系統的基礎核心晶元。

存儲器種類很多,根據信息保存方式的不同可將存儲器分為易失性存儲器和非易失性存儲器,目前市場上主流存儲器有:Flash和DRAM,Flash可分為Nor Flash和Nand Flash。

在2016年底中國存儲峰會上,大基金再次強調:中國的存儲產業跟國際上還有很大的差距,尤其在存儲晶元方面差距更大。中國這幾年進口集成電路超過了石油,2015年集成電路進口額達到2307億美元,其中存儲進口占整個集成電路進口的1/4。中國有這麼大的存儲市場,如何發展中國存儲產業,這是擺在我們產業界最大的課題。我們一直在呼籲n 要把存儲器作為國家戰略,因為中國有這麼大的市場需求;從信息安全、產業安全形度考慮,我們也應該把存儲器作為國家戰略、要建設存儲器產線。

存儲器是半導體產業的重要領域,佔比達到30%。從WSTS的統計數據來看,2016年全球各類半導體產品的銷售額佔比中,Memory+NAND Flash的累計佔比達到了32.1%。

(1)中國擁有著龐大的存儲器需求市場,巨大的供需缺口意味著廣闊的進口替代空間;

(2)作為核心的半導體器件,存儲器晶元的國產化之路也是政府在信息安全自主可控政策的重要實踐領域;

(3)參考日本、韓國半導體崛起的經驗,存儲器領域也是最佳切入點。

根據賽迪顧問數據,2015年,中國大陸半導體存儲器的市場規模2842.7億人民幣,全球市場份額達到54.1%,而中國目前存儲器幾乎全部依賴進口。在企業級存儲、消費級存儲容量快速提升等因素驅動下,未來5年以上將保持超高成長性。

中國存儲器市場進口替代空間大。「大數據」和「雲計算」時代的到來將給存儲器帶來幾何式的增長需求,中國作為全球電子產品的主要加工地,同時擁有著全球最多的網民,對於存儲器的需求量巨大。根據中國海關進出口統計數據,中國存儲器的進口量不斷增加,佔據了全部集成電路進口額的三分之一,2015年存儲器進口佔比27%,2016年為28%。我們預計隨著今年DRAM及NAND、NOR價格大幅上漲,超過30%是大概率事件。

大力發展存儲器也是信息安全和產業安全的戰略需要,唯有在存儲器等核心晶元領域具備自主可控能力,才能確保國防及信息安全。而參考日本、韓國半導體崛起的經驗,存儲器領域也是國家半導體產業崛起的最佳切入點。1980年代開始,日本廠商以大量投資、大量生產的策略,通過採取基於DRAM的IDM商業模式在全球半導體市場獲得了領先地位;韓國的半導體企業,在政府政策和大財團的推動下,集中所有的資源對儲存晶元行業進行了投資,最終從日本企業手裡拿到了世界第一的寶座。

GPU:深度學習訓練首選方案

GPU(graphics processing unit,圖形處理器)又被稱為顯示晶元,多用於個人電腦、工作站、遊戲主機以及移動設備(智能手機、平板電腦、VR設備)上專門運行繪圖運算的微處理器。

結構決定GPU更適合併行計算,GPU與CPU主要區別在於片內的緩存體系和數字邏輯運算單元的結構差異:GPU核(尤其ALU運算單元)的數量遠超CPU但是結構較CPU簡單,因此被稱為眾核結構。眾核結構非常適合把同樣的指令流並行發送到眾核上,採用不同的輸入數據執行,從而完成圖形處理中的海量簡單操作,如對每一個頂點進行同樣的坐標變換,對每一個頂點按照同樣的光照模型計算顏色值。GPU利用自身處理海量數據的優勢,通過提高總的數據吞吐量(Throughput)來彌補執行時間(Latency)長的缺點。

矢量化編程與強大並行計算能力相契合,GPU成為深度學習模型訓練首選方案。矢量化((如矩陣相乘、矩陣相加、矩陣-向量乘法等)編程是提高演算法速度的一種有效方法,深度學習中反向傳播演算法、Auto-Encoder、卷積神經網路等都可以寫成矢量的形式。CPU處理矢量運算方式為展開循環的串列執行,而GPU 的眾核體系結構包含幾千個流處理器,可將矢量運算並行執行,大幅縮短計算時間。利用GPU對海量數據進行訓練,所耗費的時間大幅縮短,佔用的伺服器也更少。

GPU行業格局:由於AMD在通用計算及生態圈構建的長期缺位,深度學習GPU加速市場目前呈現NVIDIA一家獨大的局面。根據Mercury Research的統計,目前在「PC+工作器+伺服器」獨立GPU領域NVIDIA市佔率接近70%。直到今年AMD才正式推出Radeon Instinct系列產品,主要面向深度學習和HPC數據中心應用。

MCU:萬物互聯核「芯」所在

MCU是嵌入式應用的最核心器件,是萬物互聯智能終端的「中樞神經」。MCU(Microcontroller Unit;微控制單元)又被稱為單片機,是將CPU、存儲器單元(RAM/ROM/Flash)、計數器、A/D轉換以及周邊介面等整合在單一晶元上,形成晶元級的微型計算機。MCU憑藉其高性能、低功耗、可編程、靈活性等特點被廣泛應用於各個領域,包括可穿戴設備、家電、汽車電子、無線網路等各類物聯網應用。物聯網時代之下,硬體設備智能化以及複雜程度均迎來提升,採用MCU對感測數據進行傳輸、處理並下達控制指令的需求也因此大幅增加。

MCU可按照匯流排寬度、用途、存儲器類型等標準進行分類。按匯流排或數據寄存器寬度可分為1位、4位、8位、16位、32位甚至64位單片機,位數越高單片機的計算處理性能也相對更高,具體不同位數MCU的主要用途可見下表所列;按照用途可分為通用型和專用型;按照存儲器類型則可以分為無片內ROM型和帶片內ROM型。

如果說感測器在物聯網中扮演了感官的角色,那麼MCU則可以被認為是物聯網無數終端節點的中樞神經,負責對感測器捕獲的信息進行處理、計算和下達控制指令。我們認為負責控制執行功能的MCU作為物聯網核「芯」所在,隨著物聯網浪潮迭起將迎來需求和成長空間的極大爆發。

MCU市場空間及行業格局:

MCU作為物聯網核心晶元,未來四年有望繼續保持穩定增長。根據IC Insights的預測,2015年全球MCU市場空間達到159億美元,出貨量達到221顆,平均銷售單價(ASP)約為0.72美元;預計2020年能夠到達209億美元市場空間、267億顆出貨量,平均銷售單價有望提升至0.78美元。

具體看MCU細分結構:32位MCU經過十多年年發展滲透率持續提升,市場份額由2005年的28%提升至2015年的54%,並且預計在2020年達到66%佔比。

MCU市場份額及排名在2016年發生較大變化,原因主要在於近兩年國際大廠的合併,例如cypress以40億美元收購spansion、NXP2015年以 118億美元收購freescale以及Microchip在2016年完成對Atmel的收購。從IC Insights統計來看,目前以NXP、瑞薩、ST等為代表的全球前八大MCU廠商市場份額合計達到88%。

目前MCU市場仍處於快速成長階段,我們認為隨著物聯網加速部署,「32位」、「低功耗」和「高集成度」將成為MCU發展趨勢三大關鍵詞:

32位將成為主流:物聯網時代任務的複雜化使得對晶元的性能要求持續提升,尤其是在汽車電子等運算密集型應用領域,對晶元的數據處理能力要求將越來越高,因此促使MCU從8位/16位向32位邁進。根據IC Insights 2014年的數據,出貨量方面,32位MCU已經越來越接近8位MCU;銷售額方面,32位MCU已經超過8位躍居第一位。隨著32位MCU生態環境的建立以及成本的進一步降低, 32位MCU將贏來爆髮式增長。

高整合度MCU+將成為發展趨勢:物聯網對於其中每個節點最理想的要求是智能化,即能夠通過感測器感知外界信息,通過處理器進行數據運算,通過無線通訊模塊發送/接收數據。因此,集成感測器+MCU+無線模塊的高度整合方案將成為一大發展趨勢,而隨著SoC和SiP技術的發展,實現感測器、MCU和無線模塊的單晶元集成的MCU+方案已經開始逐漸出現。

低功耗將成為物聯網時代MCU核心競爭力:當前市面上各種移動電子產品最令人詬病的一點莫過於需要頻繁充電,各家智能手機/手環廠商都在努力的降低功耗,提升續航能力。對於物聯網世界裡數量更為龐大的無線感測節點,功耗和續航時間更是直接關係到產品的可行性。通常這些節點需要24小時處於連接狀態,這對MCU的功耗提出了非常高的要求。特別是一些像在隧道或者橋樑中的檢測感測器,對電池續航能力的要求通常達到十年以上。因此,低功耗將成為MCU的核心競爭力。

FPGA:有望應用於雲端推斷

FPGA(可編程門陣列,Field Programmable Gate Array)是一種集成大量基本門電路及存儲器的晶元,最大特點為可編程。可通過燒錄FPGA配置文件來來定義這些門電路及存儲器間的連線,從而實現特定的功能。此外可以通過即時編程燒入修改內部邏輯結構,從而實現不同邏輯功能。

※不同於採用馮諾依曼架構的CPU與GPU,FPGA主要由可編程邏輯單元、可編程內部連接和輸入輸出模塊構成。FPGA每個邏輯單元的功能和邏輯單元之間的連接在寫入程序後就已經確定,因此在進行運算時無需取指令、指令解碼,邏輯單元之間也無需通過共享內存來通信。因此,儘管FPGA主頻遠低於CPU,但完成相同運算所需時鐘周期要少於CPU,能耗優勢明顯,並具有低延時、高吞吐的特性。

「雲伺服器+FPGA」模式目前較為適用於雲端推斷環節。FPGA作為一種可編程晶元,非常適合部署於提供虛擬化服務的雲計算平台之中。FPGA的靈活性,可賦予雲服務商根據市場需求調整FPGA加速服務供給的能力。另外由於FPGA的體系結構特點,非常適合用於低延遲的流式計算密集型任務處理,意味著FPGA晶元做面向與海量用戶高並發的雲端推斷,相比GPU具備更低計算延遲的優勢,能夠提供更佳的消費者體驗。

巨頭布局情況:英特爾167億美元收購Altera,整合Altera多年FPGA技術以及英特爾自身的生產線,推出CPU + FPGA 異構計算產品主攻深度學習的雲端推斷市場;亞馬遜 AWS、微軟、百度、阿里雲、騰訊雲均圍繞FPGA進行雲端推斷相應布局,具體如下表所示。

目前FPGA市場Xilinx和Altera(已被Intel收購)佔據絕對壟斷地位,合計佔有接近90%的市場份額。技術專利的限制和較長開發周期使得 FPGA行業形成很高壁壘,也進而鞏固行業巨頭領先地位。

據 Gartner 統計,全球FPGA市場規模有望從2014年近50億美元增長至2020年的84億美元。FPGA 高性能、低能耗以及可硬體編程的特點使其適用範圍得以擴大,從傳統的通信、工業、汽車領域向深度學習、數據中心快速擴張。

新型存儲器:有望成為下一代主流

主流存儲器面臨著一些不足和發展瓶頸,新型存儲器在創新方面主要延兩個方向:1)空間結構由2D變為3D;2)採用新的存儲器件結構或材料。

目前的主要新型存儲器包括:3D NAND、PCRAM和3D Xpoint(相變材料3D堆疊)。

3D NAND Flash:平面微縮技術存在發展瓶頸,3D NAND將會成為趨勢。其主要優點包括:

低單位成本:隨著製成的不斷減小,特別是16nm之後,平面微縮工藝的難度越來越大,通過平面微縮帶來的成本優勢開始減弱;

大容量、低功耗:通過3D技術能夠解決2D時隨著製成變小而引起的存儲單元之間的串擾效應和柵氧化層導致的電子擊穿效應。3D NAND可以輕鬆地在寬鬆的製成下得到更高的容量,並且性能更好,功耗更低。

相變存儲器PCM:是利用材料晶態和非晶態之間轉化後導電性的差異來存儲信息。相變存儲器的核心是找到好的相變材料,找到低功耗高速的材料。一般步驟是:發現新材料、摻雜改性、構造複合相變材料。

※存儲器從技術上看可分為控制電荷的電荷式和控制阻變分子的電阻式兩種。電荷型存儲器目前的問題有兩個,一個是電子相互排斥不容易做小,另外一個是高k金屬柵新型CMOS技術不兼容。而相變存儲器屬於電阻式存儲器,能與高K、金屬柵新型CMOS技術兼容,性能優越。相比於傳統的存儲器,PCRAM具有嵌入式、高密度,高速隨機讀寫、壽命長等特點。

3D XPoint:2015 年7月,英特爾和美光在英特爾技術峰會上聯手發布了3D XPoint 的新一代存儲器技術,3D XPoint最核心的就是利用了兩種相變材料的特性,在此基礎上進行3D堆疊。該技術經歷了十年研發,被英特爾稱為自1989 年NAND 被發明後存儲領域的第一次質的突破。3D XPoint第一次在實際產品上實現了低成本,高速度,非易失三大性能的結合。

3D XPoint性能優越: 1)3D XPoint的隨機寫入速率是NAND 的1000倍,密度是DRAM 的10倍;2)3D XPoint擁有更為寬鬆的蝕刻尺寸要求和層數添加空間,大大降低了製備成本。

3D XPoint應用前景廣闊:3D XPoint具備極高的訪問速度,能夠很好地降低處理器和數據之間的延遲,具備很大的應用前景。Intel認為3D XPoint技術既可用於NAND 快閃記憶體,也具備做DRAM內存,Intel 表明首先會在伺服器產品上使用3DX Point 技術,用3D XPoint 技術製造的NVDIMM 和SSD 都將直接與CPU 連接。

技術升級:10nm下FinFet先進工藝、EUV設備、下一代半導體材料

10nm以下FinFET製程、28nm性價比拐點

目前全球主流Foundry廠的半導體製程工藝已經 40nm左右,40nm+28nm+16nm工藝全球收入佔比超過65%。

邏輯晶元是全球最領先工藝製程的主要陣地,目前全球晶圓廠領先者正在積極布局10/7nm工藝,以龍頭台積電為例7nm已經於17Q2實現tape-out。預計7/5 nm先進位程未來兩年能夠實現量產。

高端工藝往10nm以下FET製程發展。為了開發出運算能更強大、功耗更低的晶元,未來10/7 nm甚至5nm工藝是發展重要突破的技術,FinFET工藝將原本的源極和汲極拉高變成立體板狀結構,能夠很好的降低漏電和動態功率耗損。

28nm將是半導體工藝的性價比拐點。半導體工藝從微米級到納米級,納米級從90nm到40nm過程中,核心指標「計算能力單位成本」持續下降,但是由於工藝進入20x nm之後,開發成本非線性提升導致半導體工藝在28nm節點碰到的拐點,目前來看28nm極有可能是計算能力的極小值,該節點在未來很多領域大有可為。

從收入結構來看,目前28nm及以下製程的收入佔比超過50%。最先進位程的技術主要用於AP晶元,主要包括CPU、GPU、FPGA等。

先進封裝:3D、SiP等先進封裝技術引領行業趨勢

先進封裝是電子產品小型化趨勢未來最重要的推動力。摩爾定律在5nm時候很可能會碰到物理極限,同時14nm以下新製程的開發難度和投資度呈現出指數級增長,因此IC產品的小型化的另一個核心思路是在封測環節利用更先進封裝技術來實現。

先進封裝方向:SiP、3D、MEMS等。封裝的本質是電氣互連,在晶元小型化和高效率的需求驅動下,SiP封裝、3D封裝、MEMS封裝等新型工藝將是全球半IC封裝產業的可以發展方向。

先進封裝的發展方向是「以點替代線的連接」,完成「點的連接」的核心工藝是TSV和Bumping(Copper Pillar):

封裝技術更新的驅動力是使封裝器件微型化、低成本和高性能,從晶元互連技術角度看,封裝技術發展路徑是,第一代WB技術,第二代TAB技術,第三代FC技術,第四代TSV技術,這是電氣連接技術「點替代線」思路的體現;引線鍵合是空間操作,點對點連接是平面操作,點代替線可以使連接電路的空間分布更加簡單,從而減小封裝體積;點替代線可以充分利用晶元的自身面積,可以減小封裝面積,實現微型化;

此外,Bumping技術是可以批量生產的,而鍵合技術如WB或者TAB只能對單個晶元操作,因此點替代線可以實現晶圓級封裝WLP,從而大大減少封裝成本;點對點連接,可以縮短連接電路長度,減少系統寄生電容干擾、電阻發熱和信號延遲,提高模組性能。

TSV(Through Silicon Via,硅通孔)工藝簡介:通過在晶粒內部打垂直通孔並填充金屬(Cu/W),將晶粒正面焊盤上的I/O信號引至背面,從而實現3D IC內部各層晶粒間的垂直互連,是SiP/3D封裝中的關鍵工序。

TSV製造工藝包括通孔製造,絕緣層、阻擋層製備,通孔金屬化,晶元減薄,技術難度遠大於傳統Wire Bonding技術;TSV技術是實現相鄰晶粒間垂直互連的最短連接方式,可降低晶元功耗,提高運行速度。

從縱向看,TSV將晶粒的電氣連接埠限制在一個「點」(Wire Bonding則需用「線」將埠引出),減小了3D IC的水平面積,同時TSV實現晶粒垂直互連不需要像Package on Package等3D封裝使用基板,減少了晶元厚度,從而使晶元的三維封裝密度達到最大;

Bumping技術簡介:通過在晶元表面製作金屬凸塊提供晶元電氣互連的「點」介面,反應了先進位程以「點替代線」的發展趨勢,廣泛應用於FC、WLP、CSP、3D等先進封裝。它提供了晶元之間、晶元和基板之間的「點連接」,由於避免了傳統Wire Bonding向四周輻射的金屬「線連接」,減小了晶元面積(封裝效率100%),此外凸塊陣列在晶元表面,引腳密度可以做得很高,便於滿足晶元性能提升的需求;

從工藝來看,加工時首先在晶圓上生長鈍化層,然後用Ti/Ni在其上製作金屬層UBM,最後利用焊接/電鍍在UBM上生長出鉛錫合金球/金球形成Bumping;Copper Pillar是Bumping互連技術中最先進的一種,用銅柱替換金屬球作為晶元表面的電氣介面,由於相鄰銅柱軸間距很小(目前Amkor為50微米),因而I/O引腳密度可以做的更高,晶元尺寸可進一步縮小。

EUV等高精密設備是突破工藝的核心

半導體工藝製程的持續進步背後是相關核心設備技術的進步,其中光刻機是決定半導體製程的最核心設備,光刻機技術的技術引領半導體產業的持續發展。我們認為EUV技術是半導體工藝突破10nm以下的核心技術。

台積電目前已經規模量產14nm工藝,未來3-5年重點突破10nm、5nm工藝,傳統的光刻機遇到了物理極限,目前最有可能是實現10nm以下工藝的設備是EUV光刻機。

化合物半導體新材料

半導體材料可分為單質半導體及化合物半導體兩類,前者如硅(Si)、鍺(Ge)等所形成的半導體,後者為砷化鎵(GaAs)、氮化鎵(GaN)、碳化硅(SiC)等化合物形成。以砷化鎵(GaAs)、氮化鎵(GaN)、碳化硅(SiC)為代表的第二、三代化合物半導體新材料有望受益新能源、5G大趨勢到來!

物理極限是硅材料限制所在。過去幾十年半導體都是以硅材料為基礎的工藝發展起來,在半導體工藝演進到28nm以下時,隨著晶體管尺寸的縮小,源極和柵極間的溝道也在不斷縮短,當溝道縮短到一定程度的時候,量子隧穿效應就會變得極為容易,現有的CMOS工藝已經逐漸接近硅基材料的物理極限,目前主流觀點認為硅基材料的物理極限在5nm。一直以來依靠等比縮小驅動的集成電路技術發展模式將面臨難以逾越的障礙,未來半導體技術要實現質的突破將轉向依靠材料的創新。

三大化合物半導體材料中,由於GaAs產業化較早,目前佔比最大,主要用於通訊領域,全球市場容量70-80億美元,主要受益通信射頻晶元升級尤其是PA(power amplifier,功率放大器)驅動;GaN大功率、高頻性能更出色,主要應用于軍事領域和新能源汽車充電器、逆變器;SiC可用與大功率高頻功率半導體器件如IGBT和MOSFET,被廣泛用於交流電機、變頻器、照明電路、牽引傳動領域。

大陸半導體產業具備天時地利人和,崛起大勢所趨

半導體行業具有技術密集、資本密集和產業集群三大屬性:

技術密集:半導體產業屬於材料+工藝+自動化+精密控制+電路等各領域的交叉高科技產業,具有非常高的技術壁壘。

資本密集:半導體產業鏈中的晶圓代工、封測業務需要投入高額資金購買高端精密自動化設備,並且技術進步迭代周期短,需持續投入研發和購買新設備,需要非常高的資本資出。

產業集群:半導體產業鏈的材料、設備、IC設計、晶圓代工、封測5個環節聯繫非常緊密,常常需要一起配套研發和合作以及售後服務,決定了完整的產業集群的重要性。

半導體行業屬性決定產業發展需要天時+地利+人和:

半導體技術密集的行業屬性決定行業大部分時候是「強者恆強」的格局,馬太效應顯著,只有當全球半導體行業發展出現新的機遇時,行業追趕者才有機會崛起,即「天時」,半導體面臨新的行業機遇往往來自:新需求、新工藝、新模式以及技術放緩;

半導體屬於高壁壘行業,在新需求(產品、市場)、新工藝、新模式的背景下,市場格局還沒有定型,給新進入者和追趕者提供了較好的發展契機。技術壁壘一直是半導體落後者追趕領先者的障礙,行業技術進步放緩意味著追趕者與領先者的差距在縮小,行業追趕者在技術層面的技術劣勢在逐步減小。

半導體需要大量資金投入以及人才以及相對完整和成熟的產業集群來支持,因此半導體產業發展還需要「地利」:資金、人才等核心生產要素方面的優勢以及產業集群:

半導體產業技術密集、技術密集的屬性以及技術迭代塊的特徵決定大部分處於追趕者需要技術和資金的雙重支持以及投入前幾年面臨持續虧損的局面,因此半導體的發展離不開政府在資金補貼、技術轉讓、人才獲取等方面的大力支持,因此半導體產業發展還需要「人和「:政府+公司齊心協力,實現技術和資本的共振:

天時:第四波硅含量提升周期巨大市場需求,摩爾定律放緩

以AI、物聯網、汽車電子為驅動因素的第四波硅含量提升周期到來,帶來新市場新機遇。過去十年,半導體行業主要圍繞以手機為代表的各種移動終端發展。因為中國半導體起步晚,而海外龍頭公司的競爭格局已經形成,想要追趕難度很大。而現在處於上一個需求周期進入成熟期,而新的需求將要爆發的時間點,給我們帶來了更大的空間和更多的機會。

摩爾定律的放緩有助於縮小技術差距。摩爾定律是20世紀60年代以來科技史快速發展的核心驅動力,IC電路的集成度和性能都遵循著摩爾定律快速發展,處於技術快速迭代期,這一時期「追趕者」與「領先者」差距難以縮小。(註:摩爾定律即,當價格不變時,集成電路上可容納的元器件的數目,約每隔18個月便會增加一倍,性能也將提升一倍。)

近年來,一方面,受技術工藝,成本以及功耗等因素的制約,摩爾定律開始放緩。僅有三星、英特爾、台積電等少數巨頭能夠承擔巨額研發維持摩爾定律進展,28/14 nm的高性價比拐點為大陸半導體產業的持續追趕帶來長周期機遇。另一方面,集成電路產業開始更加貼近應用,物聯網等一系列產業的興起,將帶來晶元的多元化需求,意味著眼於晶體管數量並不能完全滿足市場需求。這給大陸的「追趕者」更長的學習時間窗口,有助於縮小與「領先者」的距離。

地利:中國大陸是全球最大的下游需求和加工市場

中國大陸是全球最大的電子產品製造基地,最大的晶元市場。中國是全球最大的電子產品製造基地和晶元需求市場,生產了全球大部分的電子產品,對半導體產品需求量巨大。

2016年,中國半導體需求位居全球第一,超過1/3。但是中國半導體產業供需嚴重不匹配,供給方面,大陸供給全球的半導體產品和服務的市佔份額僅為 4%,存在很大的供需缺口。巨大的供需缺口意味著巨大的成長和國產替代空間,將倒逼整個半導體行業的發展。

中國大陸的市場優勢是相對於日本、韓國、台灣來說獨有的優勢。擁有市場,不僅能吸引技術,人才, 還能為半導體產業未來長期穩定發展供保障。

我國集成電路國產化需求強烈,進口替代空間大:

目前集成電路自給率僅為三成,進口額高居不下:作為全球最大的集成電路消費國家,我國集成電路市場仍嚴重依賴進口。中國集成電路產值不足全球7%,而市場需求卻接近全球1/3。2016年我國集成電路消費市場規模達11,986億元,但當年國內集成電路產業銷售額僅為4,336億元,自給率僅為36%。

2016年,中國集成電路進口額高達2271億美元,連續4年進口額超過2000億美元。同時集成電路出口金額為613.8億美元,貿易逆差達1657億美元。集成電路進口總額已超過同期原油進口額,成為我國第一大進口商品。

地利之產業集群:產業鏈初步形成,集群效應開始顯現。目前,中國半導體設計、製造、封測、應用大生態鏈已經逐漸形成。並且形成了長三角、京津環渤海灣、珠三角、中西部四大集群。

人和:政策、資金、技術人才、產業鏈配套已經逐步到位

政策支持不斷。集成電路作為信息產業的基礎和核心,是國民經濟和社會發展的戰略性產業,國家給予了高度重視和大力支持:2000年以來,國家先後出台《鼓勵軟體產業和集成電路產業發展的若干政策》、《進一步鼓勵軟體產業和集成電路產業發展的若干政策》等鼓勵政策,設立了國家科技重大專項,指導制定了《集成電路產業「十二五」發展規劃》等,國內集成電路產業發展環境持續得到優化。為進一步加快集成電路產業發展,2014年6 月出台的《國家集成電路產業發展推進綱要》進一步突出企業的主體地位,以需求為導向,以技術創新、模式創新和體制機制創新為動力,突破集成電路關鍵裝備和材料瓶頸,推動產業整體提升,實現跨越式發展。

大基金引領萬億資金持續投入、加快轉換產值。2014年國家集成電路產業投資基金成立正式拉開中國半導體集成電路領域的大投入,目前統計來看截止17H1一期規模達1387億元,撬動地方產業基金達5145億元,加上二期規模有望接近萬億,只以線性來看,將迎來5到10倍的轉換效率提升。

我們對目前大基金投資項目進行梳理,除表中所列外,近日大基金與長電科技、雅克科技、景嘉微等企業繼續就入股、收購、募投項目等達成意向協議,有望快速落地。目前大基金已實施項目覆蓋了集成電路設計、製造、封裝測試、裝備、材料、生態建設等各環節,實現了全產業鏈布局。

地方集成電路產業投資基金總規模已接近4000億。除了國家「大基金」扶持行業龍頭,各地也紛紛推出地方版集成電路扶持政策,通過設立投資基金,重點支持地方龍頭企業在集成電路領域進行整合做大,如上海集成電路產業基金合作備忘錄已於2016年4月簽約,首期募集資金285億元,旨在推動上海路產業尤其是集成電路製造業加速發展,加大產業整合步伐,形成產業集聚;安徽提出2017年省內集成電路產值達300億元以上,2020年總產值達600億元,支持合肥等市建立集成電路產業發展基金等。據不完全統計,各地方政府的投資基金(已經成立+宣布設立)總計規模已接近4000億元。

國家和地方基金的落地實施極大帶動了集成電路的投資與產業整合, 為產業發展破解融資瓶頸提供了保障,有力促進了集成電路行業的可持續良性發展。國家鼓勵類產業政策和產業投資基金的落地實施,為本土集成電路及其裝備製造業提供了前所未有的發展契機, 有助於我國集成電路裝備業技術水平的提高和行業的快速發展。

「海外併購+人才流入」加速大陸半導體崛起:

海外併購實現跨越式發展:在政策支持的背景下,社會資本大量湧入,中國集成電路企業掀起了全球擴張的併購浪潮。比如長電科技收購全球第四大晶元封測廠商星科金朋;艾派克收購利盟國際;豪威和矽成半導體的私有化等。

人才流入加速行業崛起,大陸對人才的吸引力也越來越強:半導體產業基礎可以靠併購,但是產業先進則需要靠優秀人才。近年來,IC界海外人才紛紛回國工作、創業,為大陸大陸半導體發展注入了強大動力。

中國大陸高素質人才佔比不斷提高,保障了充沛的人才供給。其中研究生佔比,特別是理工科研究生佔比不斷提高。2004年,中國研究生畢業生數量是15萬人左右,到2015年當年度研究生畢業數量達到55萬人左右,研究生佔比本科畢業生比例在7%左右;2004年中國理工類研究生數量是11.7萬,佔比研究生總數比例是78.12%,隨著擴招,以及加入WTO後對於複合型人才的需求,理工類研究生佔比比例維持在60%左右。

另一方面,大陸對人才的吸引力也越來越強。相比於以前,中國大陸半導體經過了數十年的發展,已經形成了一個比較好的發展環境,出現了一些具有潛力的優秀公司,現在管理人才和技術人才來大陸有了更好的平台,更高的薪酬和福利。除先前展訊挖走聯發科手機晶元部門前最高主管袁帝文之外,前華亞科董事長高啟全跳槽到大陸紫光集團。此外大陸也積極引進矽谷、台灣的半導體人才,提供了相當具有薪酬和其他配套福利。

中國大陸將會是未來10年半導體行業發展最快的地區。中國大陸半導體行業雖然起步晚,基礎相對薄弱,但是在技術、市場需求、人才、政策支持等多重因素的促進下,我們認為,中國大陸將會是未來10年半導體行業發展最快的地區。根據IC Insights等機構預測,我國半導體產業規模到2020年將達到1430億美元,2015-2020複合增長率超20%,遠高於全球的平均3%-5%的增速。

半導體產業的發展,天時、地利、人和,缺一不可。而我們認為,中國大陸半導體產業已經到達一個向上突破的臨界點。現在這個時點,中國半導體行業已經具備了天時地利人和,產業崛起大勢所趨。

大陸半導體未來崛起路徑:由封測主導到全面發展


微笑理論於1992年由宏碁創始人施振榮提出,總結全球製造業產業鏈價值量規律:

※完整的產業鏈包括市場調研、創意形成、技術研發、模塊製造與組裝加工、市場營銷、售後服務等環節,可以分為研發與設計、生產製造以及營銷和服務三個大環節;

※研發和設計分別位於產業鏈結構的前端和後端,分別是技術密集型領域、營銷和服務把握市場渠道均具有較高的價值量,擁有較高附加價值;

※生產與製造主要模式在採購設備和原材料進行加工,對產品的設計和渠道沒有大的話語權,擁有較低附加價值。然而,我們會在此後分析,半導體產業具有獨特的微笑曲線。

半導體產業具有獨特微笑曲線。半導體產業的擁有比較特殊的微笑曲線,對應順序為材料、設備—IC 設計—Foundry—封測—IC 設計 (營銷服務),而不是按照材料、設備—IC 設計—Foundry—封測順序,核心原因是:

IC 設計商同時掌握研發以及營銷和服務:IC 設計的模式是根據下游終端廠商客戶的需求、研發設計 IC 方案並對接上游 Foundry 和封測廠生產出最終的 IC 產品銷售給客戶;

Foundry廠兼具生產和先進位程工藝研發職能:Foundry廠並不是簡單的代工廠,其掌握了半導體最核心的先進位程工藝,是摩爾定律不斷推進的核心推動者。

大陸半導體產業鏈崛起路徑:由微笑曲線底部向兩端發展。微笑曲線理論為產業的國家分工方式指出本質規律:國際分工模式由產品分工向要素分工的轉變,參與國際分工合作的世界各國企業,由生產最終產品轉變為依據各自的要素稟賦,只完成最終產品形成過程中某個環節的工作。

過去十年左右,電子產業鏈國際分工中,大陸主要承擔電子終端的組裝,大陸半導體由於市場的天然優勢,而在在技術、資金等生產要素存在明顯的相對劣勢,因此在半導體產業的國際分工中主要是封測領域比較突出。

在美國完成產品設計、設備研發生產,原材料由日本提供,在美國、韓國、中國台灣完成製造生產,在台灣、新加坡完成封裝測試,最後在中國完成模組和終端產品組裝,是過去電子終端、半導體產業典型的國際分工模式。

按照本土公司收入規模口徑計算,國產化率從高到底分別是封測、IC設計、Foundry,分別為12%、10%、7%,國內IDM、材料、設備國產化率非常低,不超過5%

隨著技術差距的縮小以及資金、人才的持續投入,我們判斷未來大陸參與半導體產業的國際分工將沿著微笑曲線底部向兩端發展:由封測主導向IC設計、Foundry以及材料、設備全面發展。

封測:海外併購整合+先進封裝技術開發

在《國家集成電路產業發展綱要》發布之後,我國家加快了集成電路產業的布局,封裝測試作為集成電路產業鏈後端關鍵環節也獲得了快速發展。近年來一直保持兩位數增長,2016年大陸封測業產值同比增長13%達到1563億元。預計在17-18仍將保持13%-14%增速增長。

通過自主研發先進封裝和海外併購整合,中國大陸封測市場迅速壯大,份額躍居全球第二。國內封測前三的公司是長電科技、通富微電、華天科技,其中長電科技在全球排在第六,長電「蛇吞象」收購星科金朋後一舉成為全球第三大封測廠(日月光將和矽品合併),僅次於日月光和安靠,通富微電收購AMD封測子公司之後也成為全球封測廠商前十。

研發方面,為了更好地適應國內和國際市場對先進封裝技術的要求,國內封測企業不斷加強研發力度在3D、SIP、WLCSP等先進封裝技術加快布局,中高端封裝佔比提升至30%。

產業併購方面:封測行業作為半導體行業的先鋒,在大基金的助力下已經完成了一系列的產業併購。長電科技收購新加坡的星科金朋,華天科技收購美國的FCI,通富微電收購美國的AMD公司封測廠等,全球封測業務進一步向中國大陸聚集。

設計:蓬勃發展,國內佔比提升至第一

目前我國設計產值佔比正式成為第一,設計水平達到16/14 nm。去年我國三業實現三個第一次:設計業第一次成為我國第一大產業、晶元製造業增長速度首次超過設計業、三業均第一次超過千億規模,意義重大。集成電路設計企業盈利達到503家。2016年全產業銷售額達到1518億元,同比增長23%。排名前2的企業(海思與紫光展銳)進入全球設計行業前十。

我國基本沒有IDM公司,所有的IC設計公司都是Fabless模式,我國Fabless公司在全球市場佔有率10%左右,次於美國和台灣。我們預計這一佔有率在今明兩年有望持續提升。

2004年全球IC設計公司前50名中沒有一家中國本土IC設計公司,2015年海思半導體已經進入前十名,2016年海思與紫光展銳進入全球前十。此外北京豪威、中興微電子、華大半導體、智芯微、匯頂科技等也進入前50,足以說明大陸本土IC設計公司的實力以及發展速度。

在半導體產業飛速發展的背後,IC設計和製造方式一直在進行調整,IC設計公司快速興起。在IC設計過程中,首先要進行規格制定,也就是根據下游產商的需求進行定製化的過程。定製化意味著優質的IC設計公司將具備很高的業績彈性,一旦某個細分領域需求增加,相關公司將會在短期獲得大規模增長。

AI、IoT、汽車電子時代將帶來海量終端應用,不同於互聯網時代,物聯網等產業具備長尾特性,應用領域更加廣泛和發散,需求上具有少量多樣的特點。這對於定製化服務的IC設計廠商來說,具有更多嶄露頭角的機會,IC設計公司在找到合適的客戶和商機,即使是一個非常小的領域就足以讓各家公司在營收和獲利表現上邁上新的台階。

製造:以中芯國際為龍頭的大陸Foundry廠將全面崛起

目前全球主要的晶圓廠產能主要分布在美國、韓國、日本、台灣和大陸,按照地域角度劃分,截止2015年大陸擁有全球10%左右晶圓廠產能,但按照真實國產化率來算(三星、海力士、英特爾紛紛在華設廠),大陸本土公司擁有的晶圓廠佔全球產能不到2%。

中國大陸晶圓建廠高峰到來,2017-2020年擬新建晶圓廠佔全球的42%:根據國際半導體協會(SEMI)所發布的近兩年全球晶圓廠預測報告顯示,2016至2017年間,綜合8寸、12寸廠來看,確定新建的晶圓廠就有19座,其中大陸就佔了10座。SEMI更預估2017年到2020年的四年間,將有26座新晶圓廠投產,成為全球新建晶圓廠最積極的地區,整個投資計劃佔全球新建晶圓廠的42%,成為全球新建投資最大的地區。

大陸基本沒有本土IDM半導體公司,主要的晶圓廠在Foundry廠,主要包括中芯國際、華虹半導體等。2016年大陸Foundry行業營收同比增長26%至1126億元,首次突破千億,體量上目前次於台灣和美國(foundry)。同時我們預計在2017-2018年大陸製造產業仍將保持27%-28%增速增長。

目前大陸製造領域情況:12英寸集中擴建,8英寸訂單滿載,6英寸面臨轉型。整體來看目前大陸已投產12寸線月產能達46萬片(含外資、存儲器),全球佔比約9%;已投產8寸線月產能達66萬片(含外資),全球佔比達12.8%。2016-2020年新增12寸線規劃產能在100-110萬片/月。

由於Foundry廠的工藝進步迭代以及新工藝指數級的研發投入的提升,行業壁壘越來越高,導致行業集中度逐漸提升,台積電一家全球市佔率超過50%,基本壟斷了客戶外包的最先進工藝的訂單(蘋果等),目前行業CR10>90%,非常集中。

梁孟松正式加盟,中芯國際邁上新征途。中芯國際正式宣布梁孟松出任聯合CEO,我們認為梁孟松的到來除了有望加速28 nm HKMG良率突破及14nm先進位程工藝的開發,更重要的是對大陸半導體製造研發團隊的培育。從以往履歷經驗來看,梁孟松跳槽三星除了引入嫡系研發團隊,更在成均館等高校對韓國本土團隊開班講學,最終協助三星實現14 nm快速躍進。科技研發紅利不僅僅是靠人力成本的工程師紅利,核心在於人才,我們認為隨著梁孟松的到來,中芯國際正式具備「新興需求+本土市場+先進人才」 天時地利人和三大要素,有望邁上快速發展新征途。

材料:有望受益於下游Foundry和封測崛起浪潮

半導體材料市場概述。根據SEMI統計數據,2015年全球半導體材料市場規模達到434.2億美,預計2016年市場規模達到436.8億美元。中國半導體製造材料市場2011年已經超過北美成為全球第四大市場,之後一直保持高速增長,預計2017年將進入全球前三。

按照材料所屬環節來分類,可以分為晶圓製造環節的材料和封裝測試環節的材料,分別佔比在60%、40%左右。晶圓製造材料主要包括:矽片、掩膜版、光刻膠及配套試劑、電子氣體、CMP材料等;封裝材料主要包括:封裝基板、陶瓷基板、鍵合絲、包封材料等。

晶圓製造材料中價值量佔比較高的主要有:矽片、掩膜版、光刻膠、電子氣體、CMP和靶材,其中矽片佔比最高,佔比超過30%。

半導體封裝材料主要包括封裝基板、陶瓷基板、鍵合絲、引線框架以及包封材料。

2015年我國半導體材料整體產業規模超過220億元,其中晶圓製造材料119億元,封測材料102億元,相比較國內半導體晶圓廠和封測廠巨大的需求,國內的半導體材料還有很大的供給缺口。

整體來看我國半導體材料本土公司起步較晚,但發展很快,特別是在最近幾年我國半導體材料行業在一些領域已經取得了突破性進展,比如靶材、拋光液等,已經達到國際水平。

矽片——價值量佔比最高、最核心材料。半導體中主要用到電子級的單晶硅,半導體用單晶硅的製造流程為:拉晶—>滾磨—>線切割—>倒角—>研磨—>腐蝕—>熱處理—>邊緣拋光—>正面拋光—>清洗—>檢測—>外延。

目前全球主流尺寸的矽片為200mm和300mm,其中300mm矽片佔比超過70%,預計到2025年300mm矽片將一直是全球最主流的尺寸,2020年以後有望實現450mm的矽片逐漸規模量產。

半導體單晶矽片的核心難點是超高純度要求,一般要做到99.999999999%(九個9)以上。之前已經介紹,由於超高的行業壁壘,全球半導體單晶硅市場集中度較高,主要由日本信越、SUMCO,德國Siltronic,韓國SK Siltron和台灣GWC佔據90%以上的份額。

我國半導體矽片行業起步較晚,目前有十家左右矽片企業,技術達到200mm尺寸,主要有有研半導體、金瑞泓、上海新傲、南京國盛、河北普興、上海新晟等,目前出具產業化能力。300mm矽片領域,國內上海新晟和有研半導體比較領先,上海新晟2015年開始推進40-28nm工藝300mm大矽片項目,預計今明兩年開始逐步量產。

光刻膠是另外一種關鍵材料,用於關鍵工序光刻。

它是利用光化學反應經光刻工藝將所需要的微細圖形從掩模版轉移到待加工基片上的圖形轉移介質,由成膜劑、光敏劑、溶劑和添加劑等主要化學品成分和其他助劑組成。

光刻膠材料是微細加工技術的關鍵性材料,光刻膠按顯示的效果,可分為:(1)正性光刻膠,顯影時未曝光部分溶解於顯影液,形成的圖形與掩膜版相反,(2)負性光刻膠,顯影時曝光部分溶解於顯影液,形成的圖形與掩膜版相同。

為適應集成電路線寬不斷縮小的要求,光刻膠的波長由紫外寬譜向g線(436nm)i線(365nm)KrF(248nm)ArF(193nm)F2 (157nm)的方向轉移,並通過解析度增強技術不斷提升光刻膠的解析度水平。

目前,半導體市場上主要使用的光刻膠包括g線、i線、KrF、ArF四類光刻膠,其中g線和i線光刻膠是市場上使用量最大的光刻膠,光刻膠行業的主要技術難點在:(1)配方,(2)超高純度,半導體光刻膠市場主要由日本和美國公司主導。全球領先的光刻膠材料公司主要有JSR、信越化學、東京應化、陶氏化學等,9家全球最主要的光刻膠公司的市佔率超過95%。

我國半導體光刻膠材料發展比較晚,國內的技術與國外領先企業有較大技術差距,目前國內能夠生產集成電路用光刻膠主要由3-5家公司:北京科華(南大光電)、蘇州瑞紅、濰坊星泰克、強力新材、蘇州晶瑞等。

濺射靶材

濺射屬於物理氣相沉積技術的一種,它利用離子源產生的離子,在高真空中經過加速聚集,而形成高速度能的離子束流,轟擊固體表面,離子和固體表面原子發生動能交換,使固體表面的原子離開固體並沉積在基底表面,被轟擊的固體即為濺射靶材。

濺射靶材是半導體晶圓製造環節核心的高難度材料,濺射靶材佔半導體晶圓製造材料市場中3%左右,全球市場規模在6-7億美元,年均增速5-10%。

濺射靶材的產業鏈為:金屬提純—靶材製造—濺射鍍膜—終端應用,其中超高純度靶材是濺射靶材的基礎,靶材製造和濺射鍍膜是最關鍵的兩個環節。

半導體靶材的核心技術主要包括:(1)金屬提出技術,純度要求做到99.999%,(2)金屬微觀結構控制技術,(3)異種金屬高端焊機技術,(4)精密加工、清洗和加工技術。

中國生產半導體用的濺射靶材之前一直依賴進口,JX/Nikko、Praxair、Honeywell、Tosoh等,四家公司市場佔有率超過80%。目前以江豐電子為代表的國內公司逐漸登上舞台,其中江豐電子已經成功進入台積電、umc、中芯國際等國際大客戶。

設備:國產替代有望加速,受益大陸建廠潮

SEMI上修今年全球半導體設備支出預估將達550億美元,較今年上半年的預測金額增加20%,較去年則成長達37%,主要動能來自存儲器與晶圓代工增加投資,明年支出預估也從500億美元上修達580億美元,可望連續2年創新高紀錄。目前全球晶圓廠預測追蹤中的晶圓廠設廠計劃,2017年有62座,2018年有42座,其中許多會在大陸,帶動大陸近2年設備支出將大幅成長。

受益於晶圓投資建設高峰,中國半導體設備市場規模有望不斷創新高。中國市場2016年半導體設備市場規模64.6億美元,同比增長31.8%,全球增速最快,成為僅次於台灣和韓國的第三大半導體設備市場。

大陸在半導體裝備上的投資主要是國內公司加大了投入,其中領頭的有中芯國際、華虹半導體等代工龍頭,也有長江存儲、合肥睿力(長鑫)、福建晉華等新興存儲項目。中國2017年預計市場規模為68.4億美元,同比增長5.9%,市場規模排在韓國和台灣之後。2018年中國半導體設備的市場規模有望迎來爆發,達到110.4億美元,同比增長61.4%,一躍成為僅次於韓國的第二大半導體設備市場。

設備投資約佔半導體生產線投資的75%-80%:設備製造業是集成電路的基礎產業,是完成晶圓製造和封裝測試環節的基礎。集成電路生產線投資中設備投資佔比較大,達總資本支出的75%-80%左右。

所需專用設備主要包括晶圓製造環節所需的光刻機、化學汽相澱積(CVD)設備、刻蝕機、離子注入機、表面處理設備等;封裝環節所需的切割減薄設備、度量缺陷檢測設備、鍵合封裝設備等;測試環節所需的測試機、分選機、探針台等;以及其他前端工序所需的擴散、氧化及清洗設備等。這些設備的製造需要綜合運用光學、物理、化學等科學技術,具有技術含量高、製造難度大、設備價值高等特點。從價值量佔比來看,晶圓製造環節的工藝難度遠高於封測的難度,因此晶圓製造設備的價值量也遠高於封測設備,晶圓製造設備的價值量佔比超過75%。

根據SEMI預測,就半導體各類設備銷售額而言,2017年以晶圓製造設備(wafer manufacturing equipment)銷售額為最高,達398億美元,占當年所有半導體設備總銷售額的80.6%(其中光刻設備約佔20%,刻蝕設備約佔15%,沉積設備約佔15%)。其次為半導體測試設備的39億美元,佔7.9%。封裝設備銷售額為34億美元,佔6.9%。至於包括廠務設備(fab facilities equipment)、光罩設備(mask/reticle equipment)等在內的其他前端(front-end)設備銷售額為23億美元,佔4.7%。

半導體設備市場:產品市場集中度高,美日技術領先,CR10份額接近80%。根據目前全球集成電路專用設備生產企業主要集中於歐美、日本、韓國和我國台灣地區等,以美國應用材料公司(Applied Materials)、荷蘭阿斯麥(ASML)、美國泛林半導體(Lam Research)、日本東京電子(Tokyo Electron)、美國科磊(KLA-Tencor)等為代表的國際知名企業起步較早,經過多年發展,憑藉資金、技術、客戶資源、品牌等方面的優勢,佔據了全球集成電路裝備市場的主要份額。2016年全球半導體專用設備前10名製造商銷售規模佔全球市場的79%,前20名銷售佔比87%,前10名銷售佔比92%,市場集中度高。

晶圓製造設備細分市場基本上一家獨大,多項設備Top3市佔率超90%:集成電路裝備業具有技術更新周期短帶來的極強技術壁壘,市場壟斷程度高帶來的極大市場壁壘,以及客戶間競爭合作帶來的極高認可壁壘等特徵,因此集成電路裝備市場高度壟斷,細分市場一家獨大,甚至在多項核心設備市場,全球前三大佔有率超過90%。例如光刻機市場ASML全球佔比75.3%,加上日本的Nikon和Canon,前三大全球佔比93.8%;PVD市場,應用材料(AMAT)全球佔比84.9%,算上Evatec和Ulvac,前三大全球佔比96.2%;刻蝕設備市場,泛林(LAM)全球佔比52.7%,連同TEL和AMAT,前三大全球佔比90.5%;氧化/擴散設備市場,Hitachi、TEL、ASM合計全球佔比94.8%;CVD市場,應用材料(AMAT)全球佔比29.6%,連同TEL和LAM,合計全球市場佔比達70%。

國內半導體設備保持較快增速,有望加速國產替代。根據中國電子專用設備工業協會對國內35家主要半導體設備製造商的統計,2017年1-6月,半導體設備完成銷售收入36.77億元,同比增長27.6%,相當於去年全年半導體設備銷售收入的64.1%。預計2017年全年主要半導體設備製造商銷售收入將增長33%左右,達到76.5億元左右。

國產設備佔比較低,進口替代空間大:2016年國產設備佔國內半導體設備市場的份額約為13.35%,連續4年下滑。在進口設備種類方面,占進口金額比例較大的主要為CVD、刻蝕機、光刻機和鍵合機,前三者為製造環節的核心設備,技術門檻高,單台價值量大,鍵合機為封測環節用設備。同時我們也看到部分領域國產設備市佔率提升明顯,先進封裝製程中的高端工藝設備、刻蝕機、PVD、光刻機、清洗機等關鍵設備已經基本實現國產化,產品性能達到國際先進水平。

今天是《半導體行業觀察》為您分享的第1509內容,歡迎關注。


喜歡這篇文章嗎?立刻分享出去讓更多人知道吧!

本站內容充實豐富,博大精深,小編精選每日熱門資訊,隨時更新,點擊「搶先收到最新資訊」瀏覽吧!


請您繼續閱讀更多來自 摩爾精英 的精彩文章:

集成電路進口暴增,自主問題難解;機器人威脅!群創光電將裁10000人
刁難華為,特朗普政府用錯了招;存儲晶元價格下跌恐崩盤;國內廠商這一市場不可阻擋

TAG:摩爾精英 |